gaochy1126 发表于 2024-9-28 16:03

监测变量



$monitor("%b+%b=%d",a,b,c); //“%b+%b=%d” 格式控制,未指定时默认十进制
%h或%H //以十六进制的形式输出
%d或%D //以十进制的形式输出
%o或%O //以八进制的形式输出
%b或%B //以二进制的形式输出
//--------------------------------------------------
//a,b,c 输出列表,需要输出信息的变量
//被测变量变化触发打印操作,自动换行
`timescale 1ns/1ns

module tb_test();

reg a;
reg b;
reg c;

initial begin
  a = 4'd5;
  #100;
  b = 4'd6;
  #100;
  c = a + b;
end

initial $monitor("%b+%b=%d", a, b, c);

endmodule
//--------------------------------------------------

页: [1]
查看完整版本: 监测变量