Image
Image

41402169

+ 关注

粉丝 1     |     主题 1     |     回帖 32

有一批高端FPGA芯片,做些啥东西好?
2015-1-21 17:06
  • FPGA论坛
  • 9
  • 2448
  啥芯片?V6还是V7  
有偿提供Xilinx器件的Orcad原理图symbol(非PCB封装)
2014-12-20 11:44
  • FPGA论坛
  • 12
  • 2811
  这个自己建不就完了 很easy的吧  
K7 SRIO核 GEN2 V1.2的使用问题
2018-7-16 20:28
  • FPGA论坛
  • 5
  • 5231
  log_clk没有很可能是复位处理不对,建议ise使用14.7版本,srio版本也新一点,至于你说的k7到6678的srio传输 ...  
Spartan 3E的HSWAP管脚的用法及意义
2014-7-7 21:52
  • FPGA论坛
  • 2
  • 1314
  上电时的管脚默认状态有时候需要低或者不要影响外部io电平  
XiLinx的FMC-LPC接口谁用过?可以提供电源吗?
2014-7-13 23:57
  • FPGA论坛
  • 5
  • 4821
  看这个 http://www.vita.com/fmc FMC(LPC)接口对电源都是有规定的,当然自定义也可以,不过那样就不能扣别 ...  
fpga 如何将普通IO口当串口用
2014-7-5 14:41
  • FPGA论坛
  • 4
  • 1536
  IO口实现串口协议,外面再加上max232之类的芯片,就可以与电脑通信。  
关于FPGA供电电压
2014-7-5 10:20
  • FPGA论坛
  • 5
  • 2003
  看下数据手册,VCCA的电压范围能否满足要求,程序不是立刻执行应该是生成bit流里面的配置速度太低引起的 ...  
求教高手K7系列内部VREF的使用方法?
2014-6-8 12:33
  • FPGA论坛
  • 1
  • 1155
  没问题的  
Verilog 位宽
2014-5-7 10:22
  • FPGA论坛
  • 4
  • 1974
  2.位宽疑问,一个16位的2进制数(16‘b1111...111)写成16进制数其位宽就变成4位了(4'hffff),不知是不是 ...  
没有uart模块的疑问
2014-5-5 23:48
  • FPGA论坛
  • 2
  • 672
  自己编写一个吧 很简单的  
求助一个KC705和VC709光纤通信的问题
2014-1-16 08:07
  • FPGA论坛
  • 14
  • 2111
  做时钟补偿 例子里面默认是没有做时钟补偿的  
module 模块是否可以不用clk 触发?
2013-12-10 22:45
  • FPGA论坛
  • 4
  • 1132
  没有时钟 那么你随机序列的时序是什么样的呢?  
一个Verilog新手问题
2013-11-10 16:13
  • FPGA论坛
  • 7
  • 988
  注意阻塞和非阻塞的区别  
GTX 问题 求救
2013-11-5 07:13
  • FPGA论坛
  • 2
  • 791
  时钟补偿做了没  
XILINX Rapidio 使用探讨---我又回来了,不信整不明白这IPcore
2016-3-30 09:25
  • FPGA论坛
  • 19
  • 7686
  ad - fpga - dsp -fpga - da 这样不就行吗 何必到上位机转个弯  
FPGA的DDR模块接口连接DDR3时需要上拉电阻吗?
2013-11-8 17:27
  • FPGA论坛
  • 6
  • 4393
  这应该叫端接电阻吧 最好加上,如果很近起始也可以不要的  
如何禁止综合器讲某个信号走BUFG
2013-10-30 21:04
  • FPGA论坛
  • 5
  • 1168
  谢谢 我当时找了一会没有找到~  
谢谢
2013-11-13 23:16
  • FPGA论坛
  • 5
  • 1955
  verilog里没有小数啊,如果需要处理小数,必须乘以10的倍数,比如3.1*10 = 31,判断31是否是小数就可以了 ...  
求下面一个简单的三极管电路图问题
2013-11-14 22:43
  • FPGA论坛
  • 3
  • 1002
  FPGA加载成功后DONE信号变高,NDS331N的DS导通,DS10点亮  
ISE help
2014-6-1 17:39
  • FPGA论坛
  • 3
  • 908
  支持版主~~~~~~~~  
2
3
近期访客