Image
Image

ljlljl3428

+ 关注

粉丝 1     |     主题 0     |     回帖 41

FPGA HDMI输入问题
2017-9-11 10:13
  • 电子技术交流论坛
  • 3
  • 990
  9013有缩放功能吗?  
求助:电路图中的R1的作用是啥?是否可以去掉?
2017-9-10 21:16
  • 电子技术交流论坛
  • 7
  • 1641
  usb充电,可能和协议有关,usb host要检测是否有负载。  
能不能用FPGA把40M的正弦波移相90度
2014-8-18 20:20
  • FPGA论坛
  • 14
  • 2948
  你非要用fpga实现也可以。先整成方波在fpga内部延时,在输出方波,再通过滤波器得到基波。锁相环不就可以吗 ...  
请教:actel fpga 如何
2015-5-11 19:47
  • FPGA论坛
  • 4
  • 2065
  不懂。  
NIOS软核美国根本没人用,都是厂家忽悠,还是别学了!
2016-1-23 12:35
  • FPGA论坛
  • 68
  • 31668
  cpu+fpga,优势互补,很多厂家都集成嵌入式的cpu(arm多点)。各有所长,我觉得actel的不错。flash工艺,低 ...  
搞了几天没有找出原因,来请教大神(已解决)
2014-8-18 09:24
  • 恩智浦MCU论坛
  • 9
  • 1499
  貌似你应该先写ICS2,再写ICS1.  
  仔细看手册。8pin封装不支持外部晶振。外部晶振和通用管脚功能复用,应该先选择功能。 ...  
图解DIY 1pA超微电流测试器
2024-2-28 15:16
  • 模拟技术论坛
  • 773
  • 187085
  装屏蔽盒,盒子接模拟地。  
  试着做了一个,反馈电阻用100G(红皮的!),测试发现输出电压每天漂移1mV。如果是Ib漂移的话,就是每天10f ...  
会是什么原因导致一条线上电压不一致?
2014-8-26 15:31
  • 模拟技术论坛
  • 30
  • 4712
  还有种可能,管脚的钳位二极管引起的。如果幅值5V的信号输入到管脚,通过钳位二极管可能倒灌到电源,而后信 ...  
  感觉你的电路好像哪里接错了。貌似单片机的接地管脚通过一个二极管接到电源的地了。一般系统要求模拟数字地 ...  
求问这是属于什么电路
2014-6-4 15:29
  • 模拟技术论坛
  • 21
  • 2639
  电力载波,只记得超表用。很多IC厂家有专用芯片。可以参考他们的电路,例如ADI。 ...  
请教各位一个电路的现象,关于运放I/V转换的
2014-6-4 15:24
  • 模拟技术论坛
  • 35
  • 7026
  VOS啊。  
求图中红圈内的元件名称
2014-6-4 15:06
  • 模拟技术论坛
  • 18
  • 1838
  好像是4148,哈哈  
运放输入端电路分析
2014-6-4 15:04
  • 模拟技术论坛
  • 7
  • 1685
  PMT 是交流信号。 IO作用可能有:作为单片机的输入 中断信号,判断PMT的政府半周(频率),至于为什么用电 ...  
IO口输出的电平信号放大
2014-6-20 10:21
  • 模拟技术论坛
  • 45
  • 5400
  lz可以这样想,FPGA + MOS多驱动源 + 级联高速开关管(高速管耐压较低些)。 另外,雪崩三极管可以 ...  
  如果能做出来,可能也得考虑辐射超标问题了。。。100V/100nS这是干什么用呢? ...  
LM2596-5 后面的电感轻微滋滋的声音正常吗
2015-8-19 22:03
  • 电源技术
  • 32
  • 10777
  吱吱叫。跟电感结构有关,有缝隙有机械振动。电感饱和,先评估DCDC的最大开关电流和平均值电流,在对比电 ...  
再问:蓝色,白色元件是什么。。
2014-6-5 14:57
  • 模拟技术论坛
  • 10
  • 1235
  旁边是ADI的运放吗,是调零的电阻吗?  
  222 看PCB标志啊。明明是电阻标志。333看封装应该是可调电阻 BI公司的?  
2
3
近期访客