21ic电子技术开发论坛 IO 相关帖子
IO 标签:

IO

最新发表 / 热门讨论

版块 作者 回复/查看 最后发表
紧急求助:TIM4的通道1通道2无法输出PWM波形
PWM波TIIOniST
ST MCU 路过打酱油 2010-6-13 1 2462 路过打酱油 2010-6-14 10:38
allegro中改变字体大小设置
ALLEGROTEckgeIO
PCB技术 hengheng1217 2010-6-13 2 4281 lu150 2010-6-17 15:25
请教大家关于脉冲输入单片机IO口的问题 侃单片机论坛 maowang300miao 2010-6-13 18 3646 mqp108 2010-6-14 21:19
串口单线收发
串口TEUARTpiIO
ST MCU randy_zhs 2010-6-12 1 2322 ST_ARM 2010-6-17 11:53
求助,STM32F103VC PB7奇怪问题,不能设置为输出
STM32F103VCpiIOgpGPIO
ST MCU cwei85 2010-6-12 1 1590 cwei85 2010-6-12 11:49
用lpc2364做的板子,程序下载问题
LPCIOCDCreeScreen
ZLG 金鱼木鱼 2010-6-10 4 1536 六楼的窗户 2010-6-10 22:51
iar flash loader
LoaderflashIARniIO
ARM技术论坛 qqqlijie 2010-6-9 0 1806 qqqlijie 2010-6-9 15:58
求助香水STM32 模拟I2C的问题
模拟I2CSTM32sdpiIO
ST MCU taotaobobo 2010-6-8 4 3608 grant_jx 2010-6-13 16:04
用lpc2364设计的板子,调试成功,但下载不能实现全部功能
调试LPCIOSCRScreen
ARM技术论坛 metalwjf 2010-6-7 5 1841 wxw2000 2010-6-9 11:43
请教:STM32F107采用RMII以太网的问题 attachment ST MCU hopeasy 2010-6-7 6 7082 hawksabre 2013-6-27 18:56
请教香主 STM8S103K3T6触摸返回错误 ST MCU 258963519 2010-6-4 3 2232 gxgclg 2010-6-5 09:28
请教DMA接收串口数据的重新启动问题 attach_img
串口数据DMATIIOratio
ST MCU xsq5360 2010-6-3 1 2744 xsq5360 2010-6-5 14:42
帮忙分析一下,我的Ulink2为什么坏了?
Ulink2TIIOseBUG
ST MCU lzjin2004 2010-6-3 7 4311 STARM 2012-4-18 22:16
( Biorad Q5 )Quaestor Biorad Q2 Req. Q5
STIOADCOMTE
供需广告 ququ1234 2010-6-4 0 1122 ququ1234 2010-6-4 14:41
高手指教 altera EPM128S用作3.3V和5V的IO逻辑怎么设计?
alteraIO电平MCUCPLD
FPGA论坛 jin_gc7723 2010-6-4 1 2319 wujing198 2010-6-5 10:47
把一个字节发给锁存器(74HC573)能工作吗 Megawin(笙泉)单片机 qfengbj 2010-6-6 6 2329 jimoxinl 2010-6-17 22:10
点阵LED显示 attachment 电子技术交流论坛 21ID 2010-6-8 7 1885 21ID 2010-6-11 21:30
SDIO模式初始化通不过 attachment
IOsdSTniuvision4
ST MCU hzzcl 2010-6-8 8 2411 hzzcl 2010-7-8 14:29
[求助
seIOTISECTIONselector
ZLG 六楼的窗户 2010-6-9 1 2355 七叶一枝花 2011-1-26 21:11
发一篇设计内容非常全乎的关于全差分运放设计的论文
运放TIIOSTAN
模拟技术论坛 analog100 2010-6-10 2 1608 刺客——鸣笛 2016-10-8 14:11