21ic电子技术开发论坛 IO 相关帖子
IO 标签:

IO

最新发表 / 热门讨论

版块 作者 回复/查看 最后发表
Microchip Studio 7.0 如何修改字体大小 attach_img
IOicip
Microchip 铁血丹心LLLL 2022-5-31 5 451 铁血丹心LLLL 2022-5-31 13:34
microchip-01 MPLAB IDE安装 attach_img
TIicipANevIO
Microchip l63t89 2022-5-30 32 695 l63t89 2022-5-30 23:59
【优质评测精选】NuMaker-IIoT-NUC980 测评之网络 USB 打印机测试 新唐MCU 21ic小管家 2022-5-30 6 6068 caigang13 2022-9-6 23:41
分享-使用WFI32E Curiosity板实现通过BLE的Wi-Fi配置 attach_img
IOcuriosityosriowi-fi
Microchip Belle1257 2022-5-30 0 415 Belle1257 2022-5-30 11:16
NanoEdge AI Studio V3 异常检测演示 attach_img
AIANIOSTge
ST MCU STM新闻官 2022-5-30 2 843 土司Apple 2023-5-11 08:22
像这种MOS+三极管+二极管+按键的开关机电路 attach_img
IOosps
电子技术交流论坛 QWE4562009 2022-5-30 0 309 QWE4562009 2022-5-30 10:01
BQ25570能量搜集模块,自发电,支持MCU控制和IOT数据传输 attach_img 物联网技术 swirfe 2022-5-30 0 1155 swirfe 2022-5-30 09:12
双网口以太网IO模块 attach_img
mxIOio模块AC以太网
工控、机电一体化 金鸽物联 2022-5-28 0 2735 金鸽物联 2022-5-28 18:34
SDIO初始化卡死在sd_bus_mode_config
USCONFIGsdIOstatus
GigaDevice GD32 MCU alexz2008 2022-5-28 1 643 alexz2008 2022-5-28 20:43
swd接口做IO应该注意什么 灵动MM32 MCU andygirl 2022-5-27 15 999 Bowclad 2022-9-16 21:04
新唐科技 NuMaker-HMI-N9H30 开发板通过 LVGL 官方认证
TIarACIOcehm
新唐MCU 21ic小管家 2022-5-27 7 7794 海滨消消 2022-8-16 09:42
电子元件知识:半导体二极管的导电特性、分类及工作原理 attach_img 创业|外包|承接 Ameya360皇华 2022-5-27 0 365 Ameya360皇华 2022-5-27 16:30
STM32不用的IO口需要配置吗,还是要配置成下拉输入
IOSTSTMSTM32
ST MCU 七毛钱 2022-5-27 5 549 两只袜子 2022-6-1 10:04
新唐RT-thread开发资料
ADIOTEar
新唐MCU ddw315 2022-5-27 4 1016 laocuo1142 2022-7-18 10:39
新款网约房公寓宿舍通用物联网门锁 attach_img
IOckPAicpaas
物联网技术 tianwanghulian 2022-5-26 0 935 tianwanghulian 2022-5-26 15:14
PROFIBUS从站设备与西门子S7-1500快速连接的通讯方法 attach_img 工控、机电一体化 bjwljs 2022-5-26 0 2780 bjwljs 2022-5-26 14:26
W800芯片平台进入OpenHarmony主干 attach_img
智能家arpenharmonyIOpi
其它 今日看报 2022-5-25 0 6581 今日看报 2022-5-25 12:59
AT32f413cbt6 SDIO
IOsdAT32F4piGPIOgp
电子技术交流论坛 杨旭666 2022-5-24 1 574 muyichuan2012 2022-5-26 16:57
头文件定义对不上
IOgppi编程手册
雅特力AT32 MCU 34af9uc 2022-5-30 6 1064 redone 2022-6-7 21:38
MicroChip Studio 7.0如何快速打开.h文件 attach_img
IOicip
Microchip 漫天星yl 2022-5-31 0 396 漫天星yl 2022-5-31 13:37