21ic电子技术开发论坛 DDR 相关帖子
DDR 标签:

DDR

最新发表 / 热门讨论

版块 作者 回复/查看 最后发表
基于赛元LB1011触控评估板的EEPROM应用 attach_img
EEPROM评估板ADADDRDDR
赛元MCU平台 sanitIT 2019-5-20 4 1006 鑫越电子 2020-4-22 09:47
51单片机可以 bit flag 那么stm32 bit flag 不行,怎么办? 侃单片机论坛 冰糖炖雪梨 2020-4-26 4 573 zhouxiaochun201 2020-4-27 14:21
128的16位定时器高字节为什么会乱码? 侃单片机论坛 jason_77chen 2009-12-23 4 1510 laslison 2009-12-27 22:59
新手请教
DDRGCC
侃单片机论坛 493768154 2010-4-16 4 1557 llljh 2010-4-19 20:53
请问程序执行加一后变量未改变是什么原因?
ADaddresssdADDRDDR
ARM技术论坛 ldyeah 2010-9-25 4 1611 ldyeah 2010-9-26 10:13
DDR初值 FPGA论坛 274643473 2011-9-1 4 1508 coco11 2011-9-10 21:19
spartan3中想要用IDDR,不能例化原语实现,怎么办 FPGA论坛 zy7598865 2012-8-26 4 2888 GoldSunMonkey 2012-8-26 22:30
成功解决FPGA设计时序问题的三大要点 FPGA论坛 wmsk 2012-12-19 4 1979 hawksabre 2012-12-21 16:41
未定义错误??
ADDRDDRAD代码MACRO
英飞凌MCU论坛 lazybird721 2013-8-14 4 1170 lazybird721 2013-8-14 15:54
在读写ddr2的时候,DQS信号怎么用,可不可以不用 FPGA论坛 天河系的星星 2013-10-31 4 2262 zwp0822 2014-9-18 23:03
stm32f103zet6读取nandflash有误 attach_img ST MCU 小猫薄薄 2014-10-21 4 1405 290978075 2015-1-8 16:13
VDDR 在掉电模式在完全关闭要怎么样设置才是正确的? 中颖MCU zhuhuis 2015-7-2 4 989 黑夜之狼 2015-7-3 10:16
怎么让IAR自动检查引用的变量类型 和 定义的变量类型是否...
IARADDRDDRAD全局变量
ST MCU 关聿 2016-1-4 4 1228 lxyppc 2016-1-4 12:06
谁能帮忙分析usb库文件usb_mem.c
USBTEADDRADDDR
ST MCU cpufan 2008-7-10 4 2613 cpufan 2008-7-11 12:31
这个程序abel 为什么编译不了呢?谁能给指点一下啊
编译ADADDRDDRaddress
EDA 技术 kfchu 2009-10-14 4 1661 yxwsz 2009-11-11 09:04
CY有DDR芯片吗? attachment 英飞凌MCU论坛 贾君鹏子 2011-2-11 4 9649 Go_PSoC 2011-2-14 17:46
汇编大虾,进来一下!(解决了)
汇编编译ADDRADDDR
Microchip Ryanhsiung 2011-6-27 4 2163 Ryanhsiung 2011-6-27 15:49
FPGA挂载DDR的思考
DDRFPGAPGA
FPGA论坛 wxfxwk1986 2011-11-22 4 2014 liangziusb 2011-11-25 20:39
烧到板子上OK,但是单步会跑飞
ATMatmegaDDRmega128代码
侃单片机论坛 money9954 2015-3-5 4 792 JY-DX-JY 2015-3-14 16:25
STM32F3不能用位带操作GPIO之原由
STM32F3GPIOADDRADDDR
ST MCU feiqi1 2016-8-25 4 802 mmuuss586 2016-8-25 21:58