21ic电子技术开发论坛 VHDL 相关帖子
VHDL 标签:

VHDL

最新发表 / 热门讨论

版块 作者 回复/查看 最后发表
请问有什么VHDL的综合工具啊?
VHDLLSImodelmodelsimSIM
EDA 技术 小老虎 2007-1-23 0 1983 小老虎 2007-1-23 09:05
VHDL-优先级语句中的前后赋值
VHDLseRStcatc
EDA 技术 xyuding 2007-12-18 0 1859 xyuding 2007-12-18 11:28
n.5分频的VHDL实现
VHDL时钟STlogicic
FPGA论坛 yun888 2010-8-20 0 1648 yun888 2010-8-20 10:05
求ili9320VHDL液晶驱动代码 FPGA论坛 loulankaihua 2012-12-18 0 987 loulankaihua 2012-12-18 13:28
求教啊 有没有人有完整的基于vhdl的卷积码编解码器源码 EDA 技术 mr碎花 2014-11-5 0 708 mr碎花 2014-11-5 22:41
玩转VHDL-003分频 attach_img
VHDL时钟ShareSTTI
FPGA论坛 ucx 2017-9-18 0 686 ucx 2017-9-18 16:09
玩转VHDL016-从文件读取仿真数据 attach_img
VHDL仿真ANROMST
FPGA论坛 ucx 2018-2-28 0 1111 ucx 2018-2-28 12:47
玩转VHDL-024 至简HDB3编码
VHDLHDB3编码电平STic
FPGA论坛 ucx 2020-7-29 0 524 ucx 2020-7-29 16:25
VHDL硬件描述语言 attachment EDA 技术 gaochy1126 2021-2-25 0 513 gaochy1126 2021-2-25 21:36
有朋友用orCAD的NCVHDL仿真吗?? EDA 技术 agua4auto 2007-6-14 0 1815 agua4auto 2007-6-14 20:22
VHDL
VHDLSTseeaseAN
EDA 技术 吕萌 2007-4-3 0 1707 吕萌 2007-4-3 13:40
VHDL全数字FM接收机 attachment FPGA论坛 giftyouth 2011-9-28 0 1200 giftyouth 2011-9-28 10:56
active在VHDL中是关键字吗? FPGA论坛 hlhfootbal 2012-1-31 0 1172 hlhfootbal 2012-1-31 22:06
玩转VHDL017-从文件读取仿真数据(无自定义库版) attachment
VHDL仿真ADACAN
FPGA论坛 ucx 2018-3-1 0 815 ucx 2018-3-1 17:04
[转] vhdl数字钟的设计
VHDL数字钟STlogicic
德州仪器MCU lefeng 2018-9-28 0 571 lefeng 2018-9-28 22:57
如何用VERILOG或VHDL写一段代码,实现10进制计数器? 新手园地 道子 2018-9-11 0 202 道子 2018-9-11 10:14
Verilog HDL和VHDL的区别
HDLVHDLhdveriloverilog
EDA 技术 gaochy1126 2023-1-31 0 1360 gaochy1126 2023-1-31 21:03
仿真
仿真TI编译HDLVHDL
侃单片机论坛 yaocong 2008-11-10 0 972 yaocong 2008-11-10 22:59
VHDL在高速图像采集系统中的应用设计 ARM技术论坛 flycat2008 2008-7-31 0 1072 flycat2008 2008-7-31 10:35
VHDL秒表计时器设计程序
VHDLST开关logicic
FPGA论坛 yun888 2010-8-20 0 2662 yun888 2010-8-20 10:06