21ic电子技术开发论坛 verilo 相关帖子
verilo 标签:

verilo

最新发表 / 热门讨论

版块 作者 回复/查看 最后发表
怎样用按键做加法减法 FPGA论坛 jz19900328qq 2011-5-27 2 1897 lxc806705 2011-5-27 14:48
verilog的许多项目源代码 attachment FPGA论坛 amini 2011-5-23 19 3029 jakfens 2011-8-4 15:23
如何学习verilog语言 ZLG diny 2011-5-22 4 1317 huzixian 2011-5-24 21:55
verilog乘法、除法器 FPGA论坛 caiwenwen355 2011-5-17 2 2371 shuiyangyang 2011-5-19 23:12
用10进位显示8位数的七段显示器的方法
显示器setcswitchverilo
FPGA论坛 小云001 2011-5-15 4 1984 AutoESL 2011-5-16 09:03
新手请教FPGA的学习步骤 FPGA论坛 playjian 2011-5-2 20 5597 jiazhaohui 2012-4-14 15:58
初学者如何学习FPGA!(转)
FPGAicveriloverilog编程
FPGA论坛 AutoESL 2011-4-22 6 2342 梅花望青竹 2012-11-9 12:40
verilog黄金参考指南中文版(分享) attachment FPGA论坛 amini 2011-4-18 4 1356 七叶一枝花 2011-4-22 16:20
verilog经典教程 attachment FPGA论坛 0中国芯0 2011-4-16 6 1632 AutoESL 2011-4-19 21:28
一起探讨用FPGA做串口FIFO FPGA论坛 donver123 2011-4-2 1 2175 utopiaworld 2011-4-3 11:44
帮我设计一个FIR,我出钱 EDA 技术 zgh51688 2011-4-2 4 2058 BourneJason 2011-4-26 21:26
如何通过改善Verilog程序以减少CPLD的宏单元用量 FPGA论坛 ino1988 2011-3-31 7 3837 galton1982 2011-5-3 17:09
Verilog学习资料 attachment FPGA论坛 奔腾2.0 2011-3-21 3 1621 renqiaocui 2011-6-8 09:36
I2C 的verilog模块中,状态机主要实现什么功能? FPGA论坛 sunt8707 2011-3-21 1 1848 wyxj 2011-3-23 11:59
testbench里提示找不到模块,这是为什么?
testbenchTILabIOverilo
FPGA论坛 jiyiche 2011-3-8 6 5533 小云001 2011-3-19 23:02
代码风格问题 FPGA论坛 armsnow 2011-2-28 3 1362 armsnow 2011-3-2 09:03
东南大学Verilog讲义 attachment FPGA论坛 爱在2012 2011-2-20 25 2911 aiaiwo 2012-4-3 16:01
菜鸟请教 新手园地 luqinfeng 2011-1-29 1 2172 ZMing_PH 2011-2-2 21:42
新手,问个弱智问题
HDLpsSCALETIverilo
EDA 技术 ZCDS 2010-12-25 4 1838 song2692005 2010-12-26 16:08
【求助】进位选择加法器 新手园地 rav66 2011-4-8 0 1924 rav66 2011-4-8 16:52