问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - DA
  • Keil不能调试的问题 sos

    0x1FFF00E8 E7FE B 0x1FFF00E8仿真停在这个位置。能正常下载。 用的是DAP的调试器。 请问是什么问题?

    keil 调试 仿真 调试器 DA ap

    795浏览量 0回复量 关注量
  • 求助:GDA490 spi dma通讯求助(主从模式 两个单片机之前SPI) sos

    SPI通讯收不到数据主机代码 #include "gd32a490.h" #include "SPI.h" #define SPI_CRC_ENABLE 0 #define ARRAYSIZE 16 uint16_t spi1_send_array[ARRAYSIZE] = {0}; uint16_t spi1_receive_array[ARRAYSIZE] = {0};; extern uint16_t i2s1_rxbuffer[ARRAYSIZE]; void spi_rcu_config(void); void spi_gpio_config(void); void dma_config(void); void spi_config(void); void SPI_Init(void) { /* configure RCU */ spi_rcu_config(); /* configure GPIO */ dma_config(); /* configure I2C */ spi_config(); dma_interrupt_enable(DMA1, DMA_CH3,DMA_CHXCTL_FTFIE); nvic_priority_group_set(NVIC_PRIGROUP_PRE0_SUB4); nvic_irq_enable(DMA1_Channel3_IRQn, 0, 1); // /* enable SPI DMA */ spi_dma_enable(SPI4, SPI_DMA_RECEIVE); spi_dma_enable(SPI4, SPI_DMA_TRANSMIT); /* enbale I2S and I2S_ADD*/ spi_enable(SPI4); dma_channel_enable(DMA1, DMA_CH4); dma_channel_enable(DMA1, DMA_CH3); } void spi_rcu_config(void) { rcu_periph_clock_enable(RCU_GPIOE); rcu_periph_clock_enable(RCU_DMA1); rcu_periph_clock_enable(RCU_SPI4); } /*! \brief configure the GPIO peripheral \param[in] none \param[out] none \retval none */ void spi_gpio_config(void) { /* configure SPI1 GPIO */ gpio_af_set(GPIOE, GPIO_AF_6, GPIO_PIN_11|GPIO_PIN_12|GPIO_PIN_13|GPIO_PIN_14); gpio_mode_set(GPIOE, GPIO_MODE_AF, GPIO_PUPD_NONE, GPIO_PIN_11|GPIO_PIN_12|GPIO_PIN_13|GPIO_PIN_14); gpio_output_options_set(GPIOE, GPIO_OTYPE_PP, GPIO_OSPEED_50MHZ, GPIO_PIN_11|GPIO_PIN_12|GPIO_PIN_13|GPIO_PIN_14); /* set SPI1_NSS as GPIO*/ } /*! \brief configure the DMA peripheral \param[in] none \param[out] none \retval none */ void dma_config(void) { dma_single_data_parameter_struct dma_init_struct; /* configure SPI1 transmit dma */ dma_deinit(DMA1, DMA_CH4); dma_init_struct.periph_addr = (uint32_t)&SPI_DATA(SPI4); dma_init_struct.memory0_addr = (uint32_t)spi1_send_array; dma_init_struct.direction = DMA_MEMORY_TO_PERIPH; dma_init_struct.periph_memory_width = DMA_PERIPH_WIDTH_16BIT; dma_init_struct.priority = DMA_PRIORITY_LOW; dma_init_struct.number = ARRAYSIZE; dma_init_struct.periph_inc = DMA_PERIPH_INCREASE_DISABLE; dma_init_struct.memory_inc = DMA_MEMORY_INCREASE_ENABLE; dma_init_struct.circular_mode = DMA_CIRCULAR_MODE_DISABLE; dma_single_data_mode_init(DMA1, DMA_CH4, &dma_init_struct); dma_channel_subperipheral_select(DMA1, DMA_CH4, DMA_SUBPERI2); /* configure SPI1 receive dma */ dma_deinit(DMA1, DMA_CH3); dma_init_struct.periph_addr = (uint32_t)&SPI_DATA(SPI4); dma_init_struct.memory0_addr = (uint32_t)spi1_receive_array; dma_init_struct.direction = DMA_PERIPH_TO_MEMORY; dma_init_struct.periph_memory_width = DMA_PERIPH_WIDTH_16BIT; dma_init_struct.priority = DMA_PRIORITY_HIGH; dma_init_struct.number = ARRAYSIZE; dma_init_struct.periph_inc = DMA_PERIPH_INCREASE_DISABLE; dma_init_struct.memory_inc = DMA_MEMORY_INCREASE_ENABLE; dma_init_struct.circular_mode = DMA_CIRCULAR_MODE_DISABLE; dma_single_data_mode_init(DMA1, DMA_CH3, &dma_init_struct); dma_channel_subperipheral_select(DMA1, DMA_CH3, DMA_SUBPERI2); } /*! \brief configure the SPI peripheral \param[in] none \param[out] none \retval none */ void spi_config(void) { spi_parameter_struct spi_init_struct; spi_i2s_deinit(SPI4); /* configure SPI1 parameter */ spi_init_struct.trans_mode = SPI_TRANSMODE_FULLDUPLEX; spi_init_struct.device_mode = SPI_MASTER; spi_init_struct.frame_size = SPI_FRAMESIZE_16BIT; spi_init_struct.clock_polarity_phase = SPI_CK_PL_LOW_PH_1EDGE; spi_init_struct.nss = SPI_NSS_HARD; spi_init_struct.prescale = SPI_PSC_16; spi_init_struct.endian = SPI_ENDIAN_MSB; spi_init(SPI4, &spi_init_struct); spi_nss_output_enable(SPI4); spi_nss_internal_low(SPI4); #if SPI_CRC_ENABLE /* configure SPI CRC function */ spi_crc_polynomial_set(SPI1, 7); spi_crc_on(SPI1); #endif /* enable CRC function */ } 从机代码 #include "gd32a490.h" #include "SPI.h" #define SPI_CRC_ENABLE 0 #define ARRAYSIZE 16 uint16_t spi1_send_array[ARRAYSIZE] = {0}; uint16_t spi1_receive_array[ARRAYSIZE] = {0};; extern uint16_t i2s1_rxbuffer[ARRAYSIZE]; void spi_rcu_config(void); void spi_gpio_config(void); void dma_config(void); void spi_config(void); void SPI_Init(void) { /* configure RCU */ spi_rcu_config(); /* configure GPIO */ dma_config(); /* configure I2C */ spi_config(); / dma_interrupt_enable(DMA1, DMA_CH3,DMA_CHXCTL_FTFIE); nvic_priority_group_set(NVIC_PRIGROUP_PRE0_SUB4); nvic_irq_enable(DMA1_Channel3_IRQn, 0, 1); // /* enable SPI DMA */ spi_dma_enable(SPI4, SPI_DMA_RECEIVE); spi_dma_enable(SPI4, SPI_DMA_TRANSMIT); spi_enable(SPI4); dma_channel_enable(DMA1, DMA_CH4); dma_channel_enable(DMA1, DMA_CH3); // } void spi_rcu_config(void) { // rcu_periph_clock_enable(RCU_GPIOD); rcu_periph_clock_enable(RCU_GPIOE); rcu_periph_clock_enable(RCU_DMA1); rcu_periph_clock_enable(RCU_SPI4); } /*! \brief configure the GPIO peripheral \param[in] none \param[out] none \retval none */ void spi_gpio_config(void) { /* configure SPI1 GPIO */ gpio_af_set(GPIOE, GPIO_AF_6, GPIO_PIN_11 | GPIO_PIN_12 | GPIO_PIN_14|GPIO_PIN_13); gpio_mode_set(GPIOE, GPIO_MODE_AF, GPIO_PUPD_NONE , GPIO_PIN_11 | GPIO_PIN_12 | GPIO_PIN_14|GPIO_PIN_13); gpio_output_options_set(GPIOE, GPIO_OTYPE_PP, GPIO_OSPEED_50MHZ, GPIO_PIN_11 | GPIO_PIN_12 | GPIO_PIN_14|GPIO_PIN_13); } /*! \brief configure the DMA peripheral \param[in] none \param[out] none \retval none */ void dma_config(void) { dma_single_data_parameter_struct dma_init_struct; /* configure SPI1 transmit dma */ dma_deinit(DMA1, DMA_CH4); dma_init_struct.periph_addr = (uint32_t)&SPI_DATA(SPI4); dma_init_struct.memory0_addr = (uint32_t)spi1_send_array; dma_init_struct.direction = DMA_MEMORY_TO_PERIPH; dma_init_struct.periph_memory_width = DMA_PERIPH_WIDTH_16BIT; dma_init_struct.priority = DMA_PRIORITY_LOW; dma_init_struct.number = ARRAYSIZE; dma_init_struct.periph_inc = DMA_PERIPH_INCREASE_DISABLE; dma_init_struct.memory_inc = DMA_MEMORY_INCREASE_ENABLE; dma_init_struct.circular_mode = DMA_CIRCULAR_MODE_ENABLE; dma_single_data_mode_init(DMA1, DMA_CH4, &dma_init_struct); dma_channel_subperipheral_select(DMA1, DMA_CH4, DMA_SUBPERI2); /* configure SPI1 receive dma */ dma_deinit(DMA1, DMA_CH3); dma_init_struct.periph_addr = (uint32_t)&SPI_DATA(SPI4); dma_init_struct.memory0_addr = (uint32_t)spi1_receive_array; dma_init_struct.direction = DMA_PERIPH_TO_MEMORY; dma_init_struct.periph_memory_width = DMA_PERIPH_WIDTH_16BIT; dma_init_struct.priority = DMA_PRIORITY_HIGH; dma_init_struct.number = ARRAYSIZE; dma_init_struct.periph_inc = DMA_PERIPH_INCREASE_DISABLE; dma_init_struct.memory_inc = DMA_MEMORY_INCREASE_ENABLE; dma_init_struct.circular_mode = DMA_CIRCULAR_MODE_ENABLE; dma_single_data_mode_init(DMA1, DMA_CH3, &dma_init_struct); dma_channel_subperipheral_select(DMA1, DMA_CH3, DMA_SUBPERI2); } /*! \brief configure the SPI peripheral \param[in] none \param[out] none \retval none */ void spi_config(void) { spi_parameter_struct spi_init_struct; spi_i2s_deinit(SPI4); /* configure SPI1 parameter */ spi_init_struct.trans_mode = SPI_TRANSMODE_FULLDUPLEX; spi_init_struct.device_mode = SPI_SLAVE; spi_init_struct.frame_size = SPI_FRAMESIZE_16BIT; spi_init_struct.clock_polarity_phase = SPI_CK_PL_LOW_PH_1EDGE; spi_init_struct.nss = SPI_NSS_HARD; spi_init_struct.prescale = SPI_PSC_16; spi_init_struct.endian = SPI_ENDIAN_MSB; spi_init(SPI4, &spi_init_struct); #if SPI_CRC_ENABLE /* configure SPI CRC function */ spi_crc_polynomial_set(SPI1, 7); spi_crc_on(SPI1); #endif /* enable CRC function */ }

    单片机 GD32 spi DMA DA DMA通讯

    1380浏览量 0回复量 关注量
  • NUC972DF62Y sos

    (这个芯片虽然停产了,但我们还要用一段时间。)请问新唐这个MCU :NUC972DF62Y 有没有DAC输出管脚?如果有,具体是哪几个管脚呢?

    MCU NUC972 管脚 DA 输出 芯片

    1392浏览量 0回复量 关注量
  • MM32咋时不时冒出个识别不到芯片问题,发帖都没人回的?

    [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]代码用的例程GPIO_LED_TOGGLE,用的是开发板上自带的的烧录器,报RDDI-DAP ERROR 错误,有时候又识别的到,一点下载就报这个错,有时候又可以下载,下载代码后又识别不到芯片,又报RDDI-DAP ERROR 错误错,用keil进入debug会自动把项目关闭掉,这是什么鬼问题?[/size][/font][/backcolor][/color]

    代码 DA 错误 ap 芯片 MM32

    3561浏览量 13回复量 关注量
  • 使用DAVE开发XMC1300系列MCU配置问题 sos

    1.编译器添加文件后,build工程后 背景全部变为灰色;

    DA 使用 开发 XMC1300 MCU MUC

    1387浏览量 0回复量 关注量
  • 关于将两个8位数赋值给一个16位数的疑问

    [i=s] 本帖最后由 可不慎乎 于 2024-4-10 17:51 编辑 [/i] [img]data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAhoAAABVCAYAAAAPKE22AAAgAElEQVR4Ae19B1hU19ruee5/7/3P/XNKTs6JJp6cmJhijqYXTxJLNGisqFhj78besCIKWCIigiJYQAVFBEFEAREQRYr0jnQYpKNIGxgGhpl577PWzJ7ZU1CKBXTt55ln79l7le9719p7vftb3/r2H8A2hgBDgCHAEGAIMAQYAs8IgT88o3JZsQwBhgBDgCHAEGAIMATAiAbrBAwBhgBDgCHAEGAIPDMEGNF4ZtCyghkCDAGGAEOAIcAQYESD9QGGAEOAIcAQYAgwBJ4ZAoxoPDNoWcEMAYYAQ4AhwBBgCDCiwfoAQ4AhwBBgCDAEGALPDAFGNJ4ZtKxghgBDgCHAEGAIMAQY0WB9gCHAEGAIMAQYAgyBZ4YAIxrPDFpWMEOAIcAQYAhwCMibmtBkZQNJeCR3qkfsW64Hon7sZLQEBj8VeZvPXaDltSalPJXyekIhjGj0hFZiMjIEGAIMgR6OgCQsAtXvfIi6MRN7lCbN5y9SuZsvXnoqcjcdtKHlScLvPpXyekIhjGj0hFZiMjIEGAIMgR6OgLy5GU3HTqA1Jq5HacKIRtebixGNrmPISmAIMAQYAgyBlxQBRjS63rCMaHQdQ1YCQ4AhwBB4+RGQySArKYX8UfVjdZU3iiAtEEBeXfPYdDoX5XLIyisge/BQ51KbJ1qlkBUVQ15X12YS7oK8phayqkfc37b3UmWZ9fU0TaeJBsGruASyygeATKaqT3vqhPiuSAvvQy4Wq9LoO5A3NCjSNTfru6xzjqRHS4vO+RdxghGNF4E6q5MhwBBgCLwABETm+1Dz768gzc3Tqb1+nBFqv/yP6jwZwEnaxq07Ic3IQu3gn6lvAfWzMBgHaVa2Ki05aE1LR/3UWao0JF395BmqNIR8cOWpTpIDmQxNRx1Q88UgVV7hzHloTU7VSS+JiqHnSHri41D71Q+qPPVGv0JWWqZRNPnTEhyiIXvt1z+g5ZqfTjp5QyMaN2xBzcefKcp892OIdpqDOG8SXdrroyG7XwThnEWo+UhZzjsfova7ISrixSca4uOOqPnwU0V9//oIjes3Qy5qUssmk0FsfxK13/+k0rP6Xx+hYcVaEOLE30g70bbNyUXDkpU0fb3hVH6SF3bMiMYLg55VzBBgCDAEni8CjdtN6QAkzc7Rqbjup1Go7jdAdZ68ZVOyMH4KHeiarI+g2esKGn5bQ8/XDR+telOXlZWjZsDXlCwQEkBWaIhPnYZw7mJ1eXkFNF/D2k2qc+SgcYuJorwxEyE+7UIHdEJYyOBM6uenJ+SCnBNOn0NlEjucQrOnNwgxoefnLNIou/m8Gz1fP34Klb3F+yrIMUnb4n9DnVYiQd2oCfR8w+IVaHb3hNjxLK2j9tvB9Hx7iEZrXLyCYLz3CUSmFrRO8ZlzqJ84jVprSIUc0RDOX0rrJDISMlP74whaT5PtMZVcxK+FEovVG0AsKy03glT4N27apkpHDghOFK8Va1E3xACNJmaUKGkkekF/GNF4QcCzahkCDAGGwPNGoDNEgwxerdGxalHlctQbzaSDGrdEs/nSZfpffMJJnU7rSKqHaEjTM2i++glTNKcOpFJqFaADJ4+YcESj+v1/0+kZVRWtraq3ftlDxdQLmYKp+eQL1I+dBEgkqqTEckGsJ3VDR6rOiZ3PUzlEu/YAcrnqvLy+Hu0mGq2tqBsxBtV9+6M1IUlVBj0gZSqnTziiQeon0ybcJs0XUBn4cqFVSi1FXBq6b5VSXYnFhC8rRzQIAZQLhRpZXvQfRjRedAu8LPVLRZDU5iAz9gZCAn3h63sTIaFpyCwSQSJV37j61ZVC2lKNorgghAbGICmnEk+ecdVfkr6z**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[/img] [attach]2239576[/attach] 51单片机,kile4工程,单片机通过I2C向AT24C02发送数据并读取。 无符号整型左移8位不是全部溢出了吗?还怎么赋值给Data?Data=AT24C02_ReadByte(0);//AT24C02_ReadByte(0)是一个函数返回一个8位unsigned char的数 Data|=(AT24C02_ReadByte(1)<<8); unsigned char AT24C02_ReadByte(unsigned int WordAddress)//向AT24C02读 { unsigned int Data; I2C_Start();//开始条件 I2C_SendByte(AT24C02_ADDRESS); //主机I2C发送从机地址和写指令 I2C_ReceiveAck(); //主机接收应答 I2C_SendByte(WordAddress);//主机发送字地址 I2C_ReceiveAck(); //接收应答 I2C_Start(); I2C_SendByte(AT24C02_ADDRESS|0x01);//主机发送从机地址和读指令 I2C_ReceiveAck(); //接收应答,且从机获得SDA线权 Data=I2C_ReceiveByte(); //读一个字节的返回值赋给Data I2C_SendAck(1);//发送应答1 I2C_Stop(); return Data; } void I2C_SendByte(unsigned char Byte) { unsigned char i=0; for(i=0;i<=7;i++) { //起始条件保证scl为低电平(组合都是:起始、发/收、应答?)3种数据帧 I2C_SDA=Byte&(0x80>>i);//将Byte由低到高给SDA I2C_SCL=1;//置高立马置低能不能读到数据?看时序i2c读数用时和单片机io口转换电平用时 I2C_SCL=0; } }

    REA TE DA Read at24c02 疑问

    2094浏览量 3回复量 关注量
  • 程序>flash size 但是< (flash size + data size)-如何烧录?

    [i=s] 本帖最后由 xmcxsta 于 2024-4-3 08:53 编辑 [/i] 新片子,使用J-FLASHJ烧录程序大于flash size 但是< (flash size + data size),发现烧录不了,必须先设置config区,把data flash也改为flash,然后断电重启,才能烧录目前做法是使用J-LINK脚本连续烧录2次,第一次烧录,主要设置config区,第二次烧录才是烧录需要的程序 手头没有NULINK,nulink好像可以直接改把,但是也很麻烦,有没有什么脚本工具批量改的?

    UL HS link flash 烧录 DA

    13373浏览量 13回复量 关注量
  • modelsim仿真问题 赏800家园币

    [i=s] 本帖最后由 awbl 于 2023-8-27 13:33 编辑 [/i] 在学习FIR的过程中遇到了仿真问题,大家帮忙看一下。1、modelsim版本:modelsim-Altera 10.3d 2、quartus版本 :Quartus II 15.0 (64-bit) 3、主程序fir.v:[list=1] [*] module fir( [*] clk , [*] rst_n , [*] key , [*] dac_mode , [*] dac_sleep , [*] dac_clka , [*] dac_dat_a , [*] dac_wra , [*] dac_clkb , [*] dac_dat_b , [*] dac_wrb [*]); [*] [*]input clk ; [*]input rst_n ; [*]input [7:0] key ; [*]output dac_mode ; [*]output dac_sleep ; [*]output dac_clka ; [*]output [7:0] dac_dat_a ; [*]output dac_wra ; [*]output dac_clkb ; [*]output [7:0] dac_dat_b ; [*]output dac_wrb ; [*] [*]//信号类型定义。 [*]reg [7:0] sin_data ; [*]reg [31:0] cnt1 ; [*]wire add_cnt1 ; [*]wire end_cnt1 ; [*]wire [16:0] addr_temp ; [*]wire [6:0] addr ; [*]reg [12:0] x ; [*]reg [7:0] dac_dat_a ; [*]wire dac_sleep ; [*]wire dac_mode ; [*]wire dac_clka ; [*]reg [1:0] cnt0 ; [*]wire add_cnt0 ; [*]wire end_cnt0 ; [*]wire [7:0] fir_dout ; [*]wire fir_dout_vld; [*]reg [7:0] dac_dat_b ; [*]wire dac_clkb ; [*]wire dac_wrb ; [*]wire [7:0] fir_din ; [*]wire [7:0] fir_dout2 ; [*]//设计正弦信号。 [*]always @(*) begin [*] case(addr) [*]0: sin_data = 8'h7F; [*]1: sin_data = 8'h85; [*]2: sin_data = 8'h8C; [*]3: sin_data = 8'h92; [*]4: sin_data = 8'h98; [*]5: sin_data = 8'h9E; [*]6: sin_data = 8'hA4; [*]7: sin_data = 8'hAA; [*]8: sin_data = 8'hB0; [*]9: sin_data = 8'hB6; [*]10: sin_data = 8'hBC; [*]11: sin_data = 8'hC1; [*]12: sin_data = 8'hC6; [*]13: sin_data = 8'hCB; [*]14: sin_data = 8'hD0; [*]15: sin_data = 8'hD5; [*]16: sin_data = 8'hDA; [*]17: sin_data = 8'hDE; [*]18: sin_data = 8'hE2; [*]19: sin_data = 8'hE6; [*]20: sin_data = 8'hEA; [*]21: sin_data = 8'hED; [*]22: sin_data = 8'hF0; [*]23: sin_data = 8'hF3; [*]24: sin_data = 8'hF5; [*]25: sin_data = 8'hF7; [*]26: sin_data = 8'hF9; [*]27: sin_data = 8'hFB; [*]28: sin_data = 8'hFC; [*]29: sin_data = 8'hFD; [*]30: sin_data = 8'hFE; [*]31: sin_data = 8'hFE; [*]32: sin_data = 8'hFE; [*]33: sin_data = 8'hFE; [*]34: sin_data = 8'hFE; [*]35: sin_data = 8'hFD; [*]36: sin_data = 8'hFC; [*]37: sin_data = 8'hFA; [*]38: sin_data = 8'hF8; [*]39: sin_data = 8'hF6; [*]40: sin_data = 8'hF4; [*]41: sin_data = 8'hF1; [*]42: sin_data = 8'hEF; [*]43: sin_data = 8'hEB; [*]44: sin_data = 8'hE8; [*]45: sin_data = 8'hE4; [*]46: sin_data = 8'hE0; [*]47: sin_data = 8'hDC; [*]48: sin_data = 8'hD8; [*]49: sin_data = 8'hD3; [*]50: sin_data = 8'hCE; [*]51: sin_data = 8'hC9; [*]52: sin_data = 8'hC4; [*]53: sin_data = 8'hBE; [*]54: sin_data = 8'hB9; [*]55: sin_data = 8'hB3; [*]56: sin_data = 8'hAD; [*]57: sin_data = 8'hA7; [*]58: sin_data = 8'hA1; [*]59: sin_data = 8'h9B; [*]60: sin_data = 8'h95; [*]61: sin_data = 8'h8F; [*]62: sin_data = 8'h89; [*]63: sin_data = 8'h82; [*]64: sin_data = 8'h7D; [*]65: sin_data = 8'h77; [*]66: sin_data = 8'h70; [*]67: sin_data = 8'h6A; [*]68: sin_data = 8'h64; [*]69: sin_data = 8'h5E; [*]70: sin_data = 8'h58; [*]71: sin_data = 8'h52; [*]72: sin_data = 8'h4C; [*]73: sin_data = 8'h46; [*]74: sin_data = 8'h41; [*]75: sin_data = 8'h3C; [*]76: sin_data = 8'h36; [*]77: sin_data = 8'h31; [*]78: sin_data = 8'h2C; [*]79: sin_data = 8'h28; [*]80: sin_data = 8'h23; [*]81: sin_data = 8'h1F; [*]82: sin_data = 8'h1B; [*]83: sin_data = 8'h17; [*]84: sin_data = 8'h14; [*]85: sin_data = 8'h11; [*]86: sin_data = 8'hE ; [*]87: sin_data = 8'hB ; [*]88: sin_data = 8'h9 ; [*]89: sin_data = 8'h7 ; [*]90: sin_data = 8'h5 ; [*]91: sin_data = 8'h3 ; [*]92: sin_data = 8'h2 ; [*]93: sin_data = 8'h1 ; [*]94: sin_data = 8'h1 ; [*]95: sin_data = 8'h1 ; [*]96: sin_data = 8'h1 ; [*]97: sin_data = 8'h1 ; [*]98: sin_data = 8'h2 ; [*]99: sin_data = 8'h3 ; [*]100: sin_data = 8'h4 ; [*]101: sin_data = 8'h6 ; [*]102: sin_data = 8'h7 ; [*]103: sin_data = 8'hA ; [*]104: sin_data = 8'hC ; [*]105: sin_data = 8'hF ; [*]106: sin_data = 8'h12; [*]107: sin_data = 8'h15; [*]108: sin_data = 8'h19; [*]109: sin_data = 8'h1D; [*]110: sin_data = 8'h21; [*]111: sin_data = 8'h25; [*]112: sin_data = 8'h2A; [*]113: sin_data = 8'h2E; [*]114: sin_data = 8'h33; [*]115: sin_data = 8'h38; [*]116: sin_data = 8'h3E; [*]117: sin_data = 8'h43; [*]118: sin_data = 8'h49; [*]119: sin_data = 8'h4E; [*]120: sin_data = 8'h54; [*]121: sin_data = 8'h5A; [*]122: sin_data = 8'h60; [*]123: sin_data = 8'h67; [*]124: sin_data = 8'h6D; [*]125: sin_data = 8'h73; [*]126: sin_data = 8'h79; [*]127: sin_data = 8'h7F; [*] endcase [*]end [*] [*]always @(posedge clk or negedge rst_n)begin [*] if(!rst_n)begin [*] cnt1 <= 0; [*] end [*] else if(add_cnt1)begin [*] if(end_cnt1) [*] cnt1 <= 0; [*] else [*] cnt1 <= cnt1 + 1; [*] end [*]end [*] [*]assign add_cnt1 = 1 ; [*]assign end_cnt1 = 0 ; [*] [*] [*]always @(*) begin [*] if(key == 0)begin [*] x = 262 ; [*] end [*] else if(key == 1)begin [*] x = 524 ; [*] end [*] else if(key == 2)begin [*] x = 786 ; [*] end [*] else if(key == 3)begin [*] x = 1029 ; [*] end [*] else if(key == 4)begin [*] x = 1311 ; [*] end [*] else if(key == 5)begin [*] x = 1573 ; [*] end [*] else if(key == 6)begin [*] x = 1835 ; [*] end [*] else begin [*] x = 2097 ; [*] end [*]end [*]assign addr_temp = cnt1 * x ; [*]assign addr = addr_temp >> 10 ; [*] [*] [*]//A通道输出。 [*]always @(posedge clk or negedge rst_n)begin [*] if(rst_n==1'b0)begin [*] dac_dat_a <= 0 ; [*] end [*] else begin [*] dac_dat_a <= 255 - sin_data ; [*] end [*]end [*] [*]assign dac_sleep = 0 ; [*]assign dac_mode = 1 ; [*]assign dac_wra = dac_clka ; [*]assign dac_clka = ~clk ; [*] [*]//设计滤波器。 [*]always @(posedge clk or negedge rst_n)begin [*] if(!rst_n)begin [*] cnt0 <= 0; [*] end [*] else if(add_cnt0)begin [*] if(end_cnt0) [*] cnt0 <= 0; [*] else [*] cnt0 <= cnt0 + 1; [*] end [*]end [*] [*]assign add_cnt0 = 1 ; [*]assign end_cnt0 = add_cnt0 && cnt0== 4 - 1 ; [*]assign fir_din = sin_data - 128 ; [*]ip_fir_1 module_2( [*] .clk (clk ), [*] .reset_n (rst_n ), [*] .ast_sink_data (fir_din ), [*] .ast_sink_valid (end_cnt0 ), [*] .ast_sink_error (2'b00 ), [*] .ast_source_data (fir_dout ), [*] .ast_source_valid (fir_dout_vld ), [*] .ast_source_error ( ) [*] ); [*]assign fir_dout2 = fir_dout + 128 ; [*]always @(posedge clk or negedge rst_n)begin [*] if(rst_n==1'b0)begin [*] dac_dat_b <= 0 ; [*] end [*] else if(fir_dout_vld) begin [*] dac_dat_b <= 255 - fir_dout2 ; [*] end [*]end [*] [*]assign dac_wrb = dac_clkb ; [*]assign dac_clkb = ~clk ; [*]endmodule [/list] 4、IP核文件 ip_fir_1.v : [list=1] [*]`timescale 1 ps / 1 ps [*]module ip_fir_1 ( [*] input wire clk, // clk.clk [*] input wire reset_n, // rst.reset_n [*] input wire [7:0] ast_sink_data, // avalon_streaming_sink.data [*] input wire ast_sink_valid, // .valid [*] input wire [1:0] ast_sink_error, // .error [*] output wire [7:0] ast_source_data, // avalon_streaming_source.data [*] output wire ast_source_valid, // .valid [*] output wire [1:0] ast_source_error // .error [*] ); [*] [*] ip_fir_1_0002 ip_fir_1_inst ( [*] .clk (clk), // clk.clk [*] .reset_n (reset_n), // rst.reset_n [*] .ast_sink_data (ast_sink_data), // avalon_streaming_sink.data [*] .ast_sink_valid (ast_sink_valid), // .valid [*] .ast_sink_error (ast_sink_error), // .error [*] .ast_source_data (ast_source_data), // avalon_streaming_source.data [*] .ast_source_valid (ast_source_valid), // .valid [*] .ast_source_error (ast_source_error) // .error [*] ); [*] [*]endmodule [/list] 5、测试文件 test_fir.v : [list=1] [*]`timescale 1 ns/1 ns [*] [*]module test_fir(); [*] [*]//时钟和复位 [*]reg clk ; [*]reg rst_n; [*] [*]//uut的输入信号 [*]reg[7:0] key ; [*] [*]//uut的输出信号 [*]wire dac_mode ; [*]wire dac_sleep ; [*]wire dac_clka ; [*]wire [7:0] dac_dat_a ; [*]wire dac_wra ; [*]wire dac_clkb ; [*]wire [7:0] dac_dat_b ; [*]wire dac_wrb ; [*] [*] [*]//时钟周期,单位为ns,可在此修改时钟周期。 [*]parameter CYCLE = 200; [*] [*]//复位时间,此时表示复位3个时钟周期的时间。 [*]parameter RST_TIME = 3 ; [*] [*]//待测试的模块例化 [*]fir uut( [*] .clk (clk ), [*] .rst_n (rst_n ), [*] .key (key ), [*] .dac_mode (dac_mode ), [*] .dac_sleep (dac_sleep ), [*] .dac_clka (dac_clka ), [*] .dac_dat_a (dac_dat_a ), [*] .dac_wra (dac_wra ), [*] .dac_clkb (dac_clkb ), [*] .dac_dat_b (dac_dat_b ), [*] .dac_wrb (dac_wrb ) [*] [*]); [*] [*] [*]//生成本地时钟50M [*]initial begin [*] clk = 0; [*] forever [*] #(CYCLE/2) [*] clk=~clk; [*]end [*] [*]//产生复位信号 [*]initial begin [*] rst_n = 1; [*] #2; [*] rst_n = 0; [*] #(CYCLE*RST_TIME); [*] rst_n = 1; [*]end [*] [*]//输入信号key赋值方式 [*]initial begin [*] forever begin [*] #(10000*CYCLE); [*] //赋初值 [*] key = 0; [*] #(10000*CYCLE); [*] key = 1; [*] #(10000*CYCLE); [*] key = 2; [*] #(10000*CYCLE); [*] key = 3; [*] #(10000*CYCLE); [*] key = 4; [*] #(10000*CYCLE); [*] key = 5; [*] #(10000*CYCLE); [*] key = 6; [*] #(10000*CYCLE); [*] key = 7; [*]end [*]end [*]endmodule [/list] 6、quartus和modelsim联合仿真报错如下图: [attach]2152750[/attach] [attach]2152748[/attach] 恳请各位指正!!

    DAC DA 仿真 modelsim FPGA

    31218浏览量 7回复量 关注量