21ic电子技术开发论坛 logic 相关帖子
logic 标签:

logic

最新发表 / 热门讨论

版块 作者 回复/查看 最后发表
在仿真PWM时配置setup logic analzer signals提示unknown signal 新手园地 第三章 2019-11-24 0 274 第三章 2019-11-24 20:34
求助 keil 4 logic analyzer 波形不能自己走动
analyzerkeillogicCANkeil4
新手园地 稻花香1号 2019-11-22 0 252 稻花香1号 2019-11-22 17:04
怎么添加current logic analyzer signals 新手园地 电员师 2019-11-13 0 427 电员师 2019-11-13 20:46
VHDL语言中字符串不定态位如何表示 FPGA论坛 zhulin 2019-10-8 0 606 zhulin 2019-10-8 11:37
求帮忙看一下这个原理图写的vhdl为什么不一样 attach_img
原理图VHDLSTlogicic
FPGA论坛 洛杉矶been 2019-5-24 7 1011 zhangmangui 2019-5-26 21:19
帮帮我看看哪里出问题了。达不到预期效果,我是个新手 attach_img
iclogicSTtmpVECTOR
FPGA论坛 xiaoxiao4816 2019-5-15 3 809 xiaoxiao4816 2019-5-23 10:17
Pads logic 比较难用 PCB技术 ASHYLAI 2019-3-20 1 1005 零三翟邢止胃 2019-3-20 09:24
[转] vhdl数字钟的设计
VHDL数字钟STlogicic
德州仪器MCU lefeng 2018-9-28 0 674 lefeng 2018-9-28 22:57
音频DAC推荐
DAC音频CirruskHzlogic
电子技术交流论坛 numLiu 2018-9-19 6 1327 jason02 2021-2-2 11:07
用flip-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage? 新手园地 没名字的人 2018-9-11 0 376 没名字的人 2018-9-11 11:02
cache coherency logic,为什么只把Dcache复制到tag,而不复制Icache?
CACHElogicdccore指令
新手园地 爱德华复制手 2018-9-10 0 144 爱德华复制手 2018-9-10 20:20
scan 时 memory 或者 macro 的output 怎么处理?
CANMACROmemoryOutputlogic
新手园地 车水马龙 2018-9-10 0 196 车水马龙 2018-9-10 16:56
DFT 产生的RTL test logic 的综合问题
TESTRTLlogicInputnpu
新手园地 冰糖炖雪梨 2018-9-10 0 145 冰糖炖雪梨 2018-9-10 16:48
求一个文档,74HC/HCT/HCU/HCMOS Logic Family Specifications attachment 模拟技术论坛 6688hyc 2019-2-16 3 1984 holle 2019-2-16 19:48
LOGIC放置元器件时出现提示无法将元器件放置在原理图中 attach_img EDA 技术 一心爱你 2019-6-27 0 747 一心爱你 2019-6-27 11:47
PADS新建logic项目 新手园地 冰糖炖雪梨 2019-11-24 0 182 冰糖炖雪梨 2019-11-24 07:50
用mdk的 logic analyzer 参数怎么设置? 小华半导体 sxwnwxj 2020-7-10 10 1020 suw12q 2023-10-27 12:18
请问warning中fram lib_cell的pg_type属性如何查看
warningFRAMceiclogic
新手园地 香菇选手 2018-9-10 0 241 香菇选手 2018-9-10 16:04
Clock_info03a问题comblogic
COMlogicckmbConstrained
新手园地 スモモ 2018-9-10 0 118 スモモ 2018-9-10 17:36
物理综合DCG解密中,logic 长度为什么差这么多?
logic解密icdc
新手园地 白鹭hu 2018-9-11 0 111 白鹭hu 2018-9-11 11:48