21ic电子技术开发论坛 spartan6 相关帖子
spartan6 标签:

spartan6

最新发表 / 热门讨论

版块 作者 回复/查看 最后发表
关于spartan6程序下载
spartan6FPGApiPGAspi
FPGA论坛 milan111 2016-8-9 2 1465 yizi0000 2016-8-11 22:56
spartan6原理图设计 FPGA论坛 xhuwlj 2016-8-1 5 1370 湖南飞哥 2017-11-14 20:45
如何得到低频时钟信号 FPGA论坛 hank2014 2016-4-18 5 1458 hank2014 2016-4-19 08:45
出一块xilinx spartan6的DDR2开发板siga-s16 FPGA论坛 guizhong282 2015-11-29 0 1180 guizhong282 2015-11-29 15:02
ddr2管脚时钟线交叉,怎么解 FPGA论坛 wangxyangzhou 2015-7-28 3 899 ar_dong 2015-7-30 22:58
Xilinx Spartan6 系列的配置问题 attach_img FPGA论坛 gaolou1991 2015-6-16 0 706 gaolou1991 2015-6-16 18:08
DDR2的管教分配是固定的吗? FPGA论坛 jlgcumt 2014-11-10 1 773 ococ 2014-11-11 08:29
Spartan6 DDR控制器calib_done无法拉高 FPGA论坛 596863302 2014-9-30 2 1256 andous 2014-10-8 12:19
SPARTAN6 FPGA的SPI模式下载遇到麻烦,请大家指点 attach_img FPGA论坛 执着的蚂蚁 2014-9-24 10 2259 hclxj912 2014-9-28 17:45
Spartan6 GTP接收低速率数据问题
spartanspartan6ANsd信号
FPGA论坛 danielyuze 2014-8-19 0 941 danielyuze 2014-8-19 16:50
FPGA spartan6 PCB设计外包 创业|外包|承接 fengye1966 2014-7-28 4 1565 三希宝科技 2014-9-3 10:58
Spartan6各bank的Vcco供电可以不同时供电吗? FPGA论坛 raul777 2014-7-19 0 1327 raul777 2014-7-19 11:30
用过SPARTAN6 DDR CONROLLER的大虾请进 FPGA论坛 zhulin 2014-6-30 3 1097 andous 2014-7-16 21:13
spartan6 lx75 配置字回读 attachment
spartan6IOseTIui
FPGA论坛 iignacio 2014-4-15 1 954 iignacio 2014-4-21 10:03
Spartan6 LX16/RTL8201 百兆网/DDR3/Cypress68013 USB2.0/RM3/PMOD/RS232/UART attach_img FPGA论坛 v3_redcyclone 2014-4-14 1 1388 ifpga 2014-4-14 09:52
Spartan6实现高速串口求扫盲
spartan6串口TEipip核
FPGA论坛 joychou 2014-3-19 4 1422 hjz07091982 2014-3-26 22:58
xilinx spartan6做一个摄像头显示的问题 FPGA论坛 zsuhh 2014-3-6 2 939 ococ 2014-3-7 08:36
请教MCB读写操作 FPGA论坛 wolfskin 2014-2-23 7 1148 wolfskin 2014-2-25 22:39
spartan6的mcb使用 FPGA论坛 wolfskin 2014-1-22 8 1865 wolfskin 2014-1-29 10:26
Xilinx Spartan6程序下载的问题 FPGA论坛 寒江月2013 2014-1-9 0 1131 寒江月2013 2014-1-9 19:26