jimmyhua

社区建设奖章' 

统计信息

已有 7 人来访过

  • 积分: 1233
  • 威望: 12
  • 可用分: 547
  • 巡查: --
  • 老论坛积分: 1221
  • 邀请积分: --
  • 推广积分: --
  • 好友: 92
  • 主题: 15
  • 日志: 11
  • 相册: --
  • 分享: --

    现在还没有相册

    现在还没有记录

  • 暂无资料项或无权查看

查看全部个人资料

    现在还没有动态

现在还没有分享

linux内核一般对象管理 2011-02-16
Linux 内核一般性的内核对象 一 .kobject 管理 struct kobject {         ...
(473)次阅读|(0)个评论
linux内核双向链表源码分析 2011-02-16
1.     双向链表定义 : 在内核 include/linux/types.h 里定义了双向链表结构体类型 : Struct list_head { ...
(675)次阅读|(0)个评论
买GEC2410开发板,2000 2007-03-11
GEC2410 开发板硬件构成 硬件功能介绍: ◆ 采用三星公司的 S3C2410,主频可达 203MHz; ◆ 64M 字节的 SDRAM,由两片 K4S561632 组成,工作在 32 位模式下; ...
(308)次阅读|(1)个评论
一个3人强答题,LED1亮表示同意 2007-03-11
uploadfile-/2007-3/311179249.rar
(526)次阅读|(0)个评论
ram 2007-02-14
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY ram IS  GENERIC  (   ADDRESS_WIDTH : integer ...
(580)次阅读|(1)个评论
带清零 计数使能和置数的十进制加减计数器 2007-02-13
library ieee; use ieee.std_logic_1164.all; entity counter10_updown is port(clr,ena,clk,updown,load: in std_logic;      d: buffer ...
(1410)次阅读|(0)个评论

查看更多

现在还没有留言